Package yosys: Information

  • Default inline alert: Version in the repository: 0.41-alt1

Binary package: yosys
Version: 0.40-alt1
Architecture: riscv64
Build time:  Apr 16, 2024, 01:47 AM
Source package: yosys
Category: Engineering
Report package bug
License: ISC
Summary: Yosys Open SYnthesis Suite
Description: 
Yosys is a framework for RTL synthesis tools. It currently has extensive
Verilog-2005 support and provides a basic set of synthesis algorithms for
various application domains.

Yosys can be adapted to perform any synthesis job by combining the existing
passes (algorithms) using synthesis scripts and adding additional passes as
needed by extending the yosys C++ code base.

Maintainer: Anton Midyukov


Last changed


April 15, 2024 Anton Midyukov 0.40-alt1
- new version 0.40
March 18, 2024 Anton Midyukov 0.39-alt1
- new version 0.39
Feb. 18, 2024 Anton Midyukov 0.38-alt1
- new version 0.38